LIVE WEBINAR: Accelerating Verification Component development with OSVVM Model Independent Transactions

Presenter BIO

The presenter, Jim Lewis, is an innovator and leader in the VHDL community. He has 30 plus years of design and teaching experience. He is the Chair of the IEEE 1076 VHDL Standards Working Group. He is a co-founder of the Open Source VHDL Verification Methodology (OSVVM) and the chief architect of the packages and methodology. He is an expert VHDL trainer for SynthWorks Design Inc. In his design practice, he has created designs for print servers, IMA E1/T1 networking, fighter jets, video phones, and space craft.  

Whether teaching, developing OSVVM, doing consulting VHDL development, or working on the IEEE VHDL standard, Mr Lewis brings a deep understanding of VHDL to architect solutions that solve difficult problems in simple ways.

Agenda:  

« Previous Page 1 | 2 | 3 | 4 | 5 | 6  Next Page »
Featured Video
Jobs
Mechanical Manufacturing Engineering Manager for Google at Sunnyvale, California
Senior Principal Software Engineer for Autodesk at San Francisco, California
Principal Engineer for Autodesk at San Francisco, California
Manufacturing Test Engineer for Google at Prague, Czechia, Czech Republic
Mechanical Test Engineer, Platforms Infrastructure for Google at Mountain View, California
Equipment Engineer, Raxium for Google at Fremont, California
Upcoming Events
Intergeo 2024 at Messe Stuttgart Messepiazza 1 Stuttgart Germany - Sep 24 - 26, 2024
GIS-Pro 2024 at Portland ME - Oct 7 - 10, 2024
Geo Sessions 2024 at United States - Oct 22 - 24, 2024



© 2024 Internet Business Systems, Inc.
670 Aberdeen Way, Milpitas, CA 95035
+1 (408) 882-6554 — Contact Us, or visit our other sites:
AECCafe - Architectural Design and Engineering EDACafe - Electronic Design Automation TechJobsCafe - Technical Jobs and Resumes  MCADCafe - Mechanical Design and Engineering ShareCG - Share Computer Graphic (CG) Animation, 3D Art and 3D Models
  Privacy PolicyAdvertise