Samsung Announces Availability of its Silicon-Proven 3D IC Technology for High-Performance Applications

Samsung 'X-Cube' enables industry-first 3D SRAM-logic working silicon at 7nm and beyond.

Bandwidth and density can be scaled to suit diverse design requirements in emerging applications.

SEOUL, South Korea — (BUSINESS WIRE) — August 12, 2020 — Samsung Electronics Co., Ltd., a world leader in advanced semiconductor technology, today announced the immediate availability of its silicon-proven 3D IC packaging technology, eXtended-Cube (X-Cube), for today's most advanced process nodes. Leveraging Samsung's through-silicon via (TSV) technology, X-Cube enables significant leaps in speed and power efficiency to help address the rigorous performance demands of next-generation applications including 5G, artificial intelligence, high-performance computing, as well as mobile and wearable.

This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20200812005590/en/

Samsung Foundry's new X-Cube technology. (Photo: Business Wire)

Samsung Foundry's new X-Cube technology. (Photo: Business Wire)

"Samsung's new 3D integration technology ensures reliable TSV interconnections even at the cutting-edge EUV process nodes," said Moonsoo Kang, senior vice president of Foundry Market Strategy at Samsung Electronics. "We are committed to bringing more 3D IC innovation that can push the boundaries of semiconductors.”

With Samsung's X-Cube, chip designers can enjoy greater flexibility to build custom solutions that best suit their unique requirements. The X-Cube test chip built on 7nm uses TSV technology to stack SRAM on top of a logic die, freeing up space to pack more memory into a smaller footprint. Enabled by 3D integration, the ultra-thin package design features significantly shorter signal paths between the dies for maximized data transfer speed and energy efficiency. Customers can also scale the memory bandwidth and density to their desired specifications.

Samsung X-Cube's silicon-proven design methodology and flow are available now for advanced nodes including 7nm and 5nm. Building on the initial design, Samsung plans to continue collaborating with global fabless customers to facilitate the deployment of 3D IC solutions in next-generation high-performance applications.

More details on Samsung X-Cube will be presented at Hot Chips, an annual conference on high-performance computing, which will be livestreamed Aug. 16-18.

About Samsung Electronics Co., Ltd.

Samsung inspires the world and shapes the future with transformative ideas and technologies. The company is redefining the worlds of TVs, smartphones, wearable devices, tablets, digital appliances, network systems, and memory, system LSI, foundry and LED solutions. For the latest news, please visit the Samsung Newsroom at http://news.samsung.com.



Contact:

Editorial Contact:
Lisa Warren-Plungy
Samsung Semiconductor, Inc.
Lisa.plungy@samsung.com

Featured Video
Jobs
Senior Principal Software Engineer for Autodesk at San Francisco, California
Mechanical Test Engineer, Platforms Infrastructure for Google at Mountain View, California
Senior Principal Mechanical Engineer for General Dynamics Mission Systems at Canonsburg, Pennsylvania
Principal Engineer for Autodesk at San Francisco, California
Equipment Engineer, Raxium for Google at Fremont, California
Manufacturing Test Engineer for Google at Prague, Czechia, Czech Republic
Upcoming Events
Intergeo 2024 at Messe Stuttgart Messepiazza 1 Stuttgart Germany - Sep 24 - 26, 2024
GIS-Pro 2024 at Portland ME - Oct 7 - 10, 2024
Geo Sessions 2024 at United States - Oct 22 - 24, 2024



© 2024 Internet Business Systems, Inc.
670 Aberdeen Way, Milpitas, CA 95035
+1 (408) 882-6554 — Contact Us, or visit our other sites:
AECCafe - Architectural Design and Engineering EDACafe - Electronic Design Automation TechJobsCafe - Technical Jobs and Resumes  MCADCafe - Mechanical Design and Engineering ShareCG - Share Computer Graphic (CG) Animation, 3D Art and 3D Models
  Privacy PolicyAdvertise